Browsing by Author Ergin, Oğuz

Showing results 4 to 23 of 99 < previous   next >
Issue DateTitleAuthor(s)
2022Can We Trust Undervolting in FPGA-Based Deep Learning Designs at Harsh Conditions?Koc, Fahrettin; Salami, Behzad; Ergin, Oğuz ; Unsal, Osman; Kestelman, Adrian Cristal
2016ChargeCache: Reducing DRAM Latency by Exploiting Row Access LocalityHassan, Hasan; Pekhimenko, Gennady; Vijaykumar, Nandita; Seshadri, Vivek; Lee, Donghyuk; Ergin, Oğuz ; Mutlu, Onur
2010Complexity-Effective Rename Table Design for Rapid Speculation RecoveryAsilioğlu, Goerkem; Kaya, Emine Merve; Ergin, Oğuz 
2022Composable Cachelets: Protecting Enclaves from Cache Side-Channel AttacksTownley, Daniel; Arikan, Kerem; Liu, Yu David; Ponomarev, Dmitry; Ergin, Oğuz 
2009Çağdaş mikroişlemcilerde veri saklayan birimlerin sızdırmaya bağlı güç tüketiminin azaltılmasıKoçberber, Yusuf Onur
2020Çok çekirdekli görev-kritik işlemciler için önbellek tasarımı ve gerçeklenmesiAtamaner, Mert
2014Çoklu iş parçacıkları ile çalışma zamanında AVF tahmini yapılmasıÇavuş, Mustafa
2017Detecting Errors in Instructions with Bloom FiltersAtamaner, Mert; Ergin, Oğuz ; Ottavi, Marco; Reviriego, Pedro
2023DEV-PIM: Dynamic Execution Validation with Processing-in-MemoryBolata, Alperen; Tuğrul, Yahya Can; Çelik, Seyyid Hikmet; Sezer, Şakir; Ottavi, Marco; Ergin, Oğuz 
2017Donanım tabanlı dram operasyon hızlandırıcı tasarımıİpek, Eyüphan
2022DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number GeneratorsBostanci, F. Nisa; Olgun, Ataberk; Orosa, Lois; Yaglikci, A. Giray; Kim, Jeremie S.; Hassan, Hasan; Mutlu, Onur; Ergin, Oğuz 
2016DRAM sızma karakteristikleri ve olağan erişim örüntüsünden faydalanarak dram erişim gecikmesinin azaltılmasıHassan, Hasan
2022Dram üzerinde gerçek rastgele sayi üretme mekanizmalari için sistem tasarimiBostancı, Fatma Nisa
2013Durağan enerji kaybına karşı geliştirilen içerik uyarlamalı bit hücreleri ile özgün sram tasarımı: CSRAMKoç, Fahrettin
2022Düsük güç tüketimi ve yüksek basarim için özgün uyarlanabilir gömülü sistem ve bellek tasarimlariKoç, Fahrettin
2022Düsük-gerilimli SRAM aygitlari için gerçek rastgele sayi üretme ve hata modelleme yöntemleriYüksel, İsmail Emir
2010Dynamic Register File Partitioning in Superscalar Microprocessors for Energy EfficiencyÖzsoy, Meltem; Koçberber, Y. Onur; Kayaalp, Mehmet; Ergin, Oğuz 
2006Early register deallocation mechanisms using checkpointed register filesErgin, Oğuz ; Balkan, Deniz; Ponomarev, Dmitry; Ghose, Kanad
2006Empowering a helper cluster through data-width aware instruction selection policiesÜnsal, O. S.; Ergin, Oğuz ; vera, X.; González, A.
2009Energy-Efficient Register Caching with Compiler AssistanceJones, Timothy M.; O'Boyle, Michael F. P.; Abella, Jaume; Gonzalez, Antonio; Ergin, Oğuz