Browsing by Author Ergin, Oğuz

Showing results 64 to 83 of 99 < previous   next >
Issue DateTitleAuthor(s)
Apr-2020A Microprocessor Protection Architecture against Hardware Trojans in MemoriesBolat, A.; Cassano, L.; Reviriego, P.; Ergin, Oğuz ; Ottavid, M.
2007Mikroişlemcilerde etiket karşılaştırıcılarının kullanılmasıyla geçici hataların tespitiYalçın, Gülay
2019Mikroişlemcilerde Kullanıma Uygun Bir Tek Yön Kaydırmalı Yeniden Adlandırma Tablosu DevresiErgin, Oğuz 
2012Mikroişlemcilerde sanal adreslerden yararlanılarak geçici hatalara karşı koruma sağlanmasıÇakmakçı, Yaman
2007Mikroişlemcilerde üretilen değerlerin genişliklerini tahmin etmek için donanım tasarımıÜlker, Hatice Şeyma
2009Mikroişlemcilerde yazmaç öbeğinin enerji tasarrufu için bölümlenmesiÖzsoy, Meltem
2013Mobil platformlarda fır filtre tasarımı için FPGA ve GPU uygulamalarının enerji ve başarım analiziAykenar, Mehmet Burak
2009Modifying The Data-Holding Components Of The Microprocessors For Energy EfficiencyOsmanlıoğlu, Yusuf; Hanay, Y. Sinan; Ergin, Oğuz 
2022MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMsYüksel, I.E.; Salami, B.; Ergin, Oğuz ; Ünsal, O.S.; Kestelman, A.C.
2010Müzikle şifreleme - veri gizleme sistemi tasarımı ve gerçeklenmesiYavuz, Muhammet Hamdi
Jul-2019A Novel FPGA-Based High Throughput Accelerator for Binary Search TreesMelikoğlu, Öykü; Ergin, Oğuz ; Salami, B.; Pavon, J.; Ünsal, O.; Cristal, A.
Jul-2018Opcode vector: An efficient scheme to detect soft errors in instructionsMartinez, Jorge A.; Atamaner, Mert; Reviriego, Pedro; Ergin, Oğuz ; Ottavi, Marco
2022PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAMOlgun, Ataberk; Luna, Juan Gomez; Kanellopoulos, Konstantinos; Salami, Behzad; Hassan, Hasan; Ergin, Oguz ; Mutlu, Onur
2022PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM TechniquesOlgun, A.; Luna, J.G.; Kanellopoulos, K.; Salami, B.; Hassan, H.; Ergin, Oğuz ; Mutlu, O.
2022Processor Security: Detecting Microarchitectural Attacks via Count-Min SketchesArikan, Kerem; Palumbo, Alessandro; Cassano, Luca; Reviriego, Pedro; Pontarelli, Salvatore; Bianchi, Giuseppe; Ergin, Oğuz 
2021QUAC-TRNG: High Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM ChipsOlgun, Ataberk; Patel, Minesh; Yağlıkçı, A. Giray; Luo, Haocong; Ergin, Oğuz ; Bostancı, F. Nisa; Vijaykumar, Nandita
2014Radar sinyal işleme algoritmalarının FPGA ve GPU üzerinde uygulanmasının başarım analiziÖzgür, Muhammet
2009Reducing Parity Generation Latency through Input Value Aware CircuitsOsmanlıoğlu, Yusuf; Koçberber, Y. Onur; Ergin, Oğuz 
2009Reducing Soft Errors through Operand Width Aware PoliciesErgin, Oğuz ; Ünsal, Osman S.; vera, Xavier; Gonzalez, Antonio
2010Reducing The Energy Dissipation Of The Issue Queue By Exploiting Narrow Immediate OperandsKaynak, İlknur Cansu; Koçberber, Yusuf Onur; Ergin, Oğuz