02.3. Department of Computer Engineering

Organization name
02.3. Department of Computer Engineering
Director
Parent OrgUnit
City
Ankara
Country
Turkey

OrgUnit's Researchers publications
(Dept/Workgroup Publication)

Refined By:
Author:  Ergin, Oğuz

Results 1-20 of 67 (Search time: 0.008 seconds).

Issue DateTitleAuthor(s)
12013Adapting the Columns of Storage Components for Lower Static Energy DissipationAykenar, Mehmet Burak; Özgür, Muhammet; Şimşek, Osman Seçkin; Ergin, Oğuz 
2Aug-2014Bit Impact Factor: Towards making fair vulnerability comparisonCan, Serdar Zafer; Yalçın, Gülay; Ergin, Oğuz ; Sabri Ünsal, Osman; Cristal, Adrian
32022Can We Trust Undervolting in FPGA-Based Deep Learning Designs at Harsh Conditions?Koc, Fahrettin; Salami, Behzad; Ergin, Oğuz ; Unsal, Osman; Kestelman, Adrian Cristal
42016ChargeCache: Reducing DRAM Latency by Exploiting Row Access LocalityHassan, Hasan; Pekhimenko, Gennady; Vijaykumar, Nandita; Seshadri, Vivek; Lee, Donghyuk; Ergin, Oğuz ; Mutlu, Onur
52010Complexity-Effective Rename Table Design for Rapid Speculation RecoveryAsilioğlu, Goerkem; Kaya, Emine Merve; Ergin, Oğuz 
62022Composable Cachelets: Protecting Enclaves from Cache Side-Channel AttacksTownley, Daniel; Arikan, Kerem; Liu, Yu David; Ponomarev, Dmitry; Ergin, Oğuz 
72017Detecting Errors in Instructions with Bloom FiltersAtamaner, Mert; Ergin, Oğuz ; Ottavi, Marco; Reviriego, Pedro
82023DEV-PIM: Dynamic Execution Validation with Processing-in-MemoryBolata, Alperen; Tuğrul, Yahya Can; Çelik, Seyyid Hikmet; Sezer, Şakir; Ottavi, Marco; Ergin, Oğuz 
92022DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number GeneratorsBostanci, F. Nisa; Olgun, Ataberk; Orosa, Lois; Yaglikci, A. Giray; Kim, Jeremie S.; Hassan, Hasan; Mutlu, Onur; Ergin, Oğuz 
102010Dynamic Register File Partitioning in Superscalar Microprocessors for Energy EfficiencyÖzsoy, Meltem; Koçberber, Y. Onur; Kayaalp, Mehmet; Ergin, Oğuz 
112006Early register deallocation mechanisms using checkpointed register filesErgin, Oğuz ; Balkan, Deniz; Ponomarev, Dmitry; Ghose, Kanad
122006Empowering a helper cluster through data-width aware instruction selection policiesÜnsal, O. S.; Ergin, Oğuz ; vera, X.; González, A.
132009Energy-Efficient Register Caching with Compiler AssistanceJones, Timothy M.; O'Boyle, Michael F. P.; Abella, Jaume; Gonzalez, Antonio; Ergin, Oğuz 
142013Enhanced Duplication: a Technique to Correct Soft Errors in Narrow ValuesKarslı, I. Burak; Reviriego, Pedro; Balli, M. Fatih; Ergin, Oğuz ; Maestro, J. A.
152022ERIC: An Efficient and Practical Software Obfuscation FrameworkBolat, Alperen; Celik, Seyyid Hikmet; Olgun, Ataberk; Ergin, Oğuz ; Ottavi, Marco
162016Error Recovery Through Partial Value SimilarityEker, Abdulaziz; Ergin, Oğuz 
17Jul-2020An Experimental Study of Reduced-Voltage Operation in Modern FPGAs for Neural Network AccelerationSalami, B.; Onural, E. B.; Yüksel, I. E.; Koç, F.; Ergin, Oğuz ; Cristal Kestelman, A.; Ünsal, O.; Sarbazi-Azad, H.; Mutlu, O.
182014Exploiting a Fast and Simple ECC for Scaling Supply Voltage in Level-1 CachesYalçın, Gülay; İşlek, Emrah; Tozu, Öykü; Reviriego, Pedro; Cristal, Adrian; Ünsal, Osman Sabri; Ergin, Oğuz 
192012Exploiting bus level and bit level inactivity for preventing wire degradation due to electromigrationKayaalp, Mehmet; Koç, F.; Ergin, Oğuz 
20Oct-2014Exploiting Existing Comparators for Fine-Grained Low-Cost Error DetectionYalçın, Gülay; Ergin, Oğuz ; İşlek, Emrah; Ünsal, Osman Sabri; Cristal, Adrian