Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/6572
Full metadata record
DC FieldValueLanguage
dc.contributor.authorÖzsoy, Meltem-
dc.contributor.authorKoçberber, Y. Onur-
dc.contributor.authorKayaalp, Mehmet-
dc.contributor.authorErgin, Oğuz-
dc.date.accessioned2021-09-11T15:37:31Z-
dc.date.available2021-09-11T15:37:31Z-
dc.date.issued2010en_US
dc.identifier.citationIEEE International Conference on Computer Design -- OCT 03-06, 2010 -- Amsterdam, NETHERLANDSen_US
dc.identifier.isbn978-1-4244-8935-0-
dc.identifier.issn1063-6404-
dc.identifier.urihttps://doi.org/10.1109/ICCD.2010.5647631-
dc.identifier.urihttps://hdl.handle.net/20.500.11851/6572-
dc.description.abstractRegister file is one of the vital and energy consuming parts inside microprocessor. Many studies show that it is one of the hot spots on the chip. It is also observed by many researchers that many of the produced values in a processor are narrow. By using the narrow values, register files can store fewer bits and may be designed to need less static and dynamic energy. In this paper we propose a register file design that stores data in narrow value groups and values are written to those groups according to their widths. Size of narrow value groups can be set dynamically according to the behavior of the program while having the same performance. We show that the register file which has dynamically changing narrow value groups offers static and dynamic energy savings in the register file up to 65% with negligible performance loss.en_US
dc.description.sponsorshipIEEE, IEEE Circuits & Syst Soc, IEEE Comp Soc, HiPEACen_US
dc.description.sponsorshipScientific and Technological Research Council of Turkey (TUBITAK)Turkiye Bilimsel ve Teknolojik Arastirma Kurumu (TUBITAK) [107E043, 109E043]en_US
dc.description.sponsorshipThis work was supported by the Scientific and Technological Research Council of Turkey (TUBITAK) through the research grants 107E043 and 109E043.en_US
dc.language.isoenen_US
dc.publisherIEEE Computer Socen_US
dc.relation.ispartof2010 IEEE International Conference On Computer Designen_US
dc.rightsinfo:eu-repo/semantics/closedAccessen_US
dc.subject[No Keywords]en_US
dc.titleDynamic Register File Partitioning in Superscalar Microprocessors for Energy Efficiencyen_US
dc.typeConference Objecten_US
dc.relation.ispartofseriesProceedings IEEE International Conference on Computer Designen_US
dc.departmentFaculties, Faculty of Engineering, Department of Computer Engineeringen_US
dc.departmentFakülteler, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümütr_TR
dc.identifier.startpage515en_US
dc.identifier.endpage520en_US
dc.authorid0000-0003-2701-3787-
dc.authorid0000-0001-8859-949X-
dc.identifier.wosWOS:000286933700073en_US
dc.identifier.scopus2-s2.0-78650737992en_US
dc.institutionauthorErgin, Oğuz-
dc.identifier.doi10.1109/ICCD.2010.5647631-
dc.relation.publicationcategoryKonferans Öğesi - Uluslararası - Kurum Öğretim Elemanıen_US
dc.relation.conferenceIEEE International Conference on Computer Designen_US
dc.identifier.scopusquality--
item.fulltextNo Fulltext-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.languageiso639-1en-
item.cerifentitytypePublications-
item.openairetypeConference Object-
item.grantfulltextnone-
crisitem.author.dept02.3. Department of Computer Engineering-
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
Scopus İndeksli Yayınlar Koleksiyonu / Scopus Indexed Publications Collection
WoS İndeksli Yayınlar Koleksiyonu / WoS Indexed Publications Collection
Show simple item record



CORE Recommender

Page view(s)

48
checked on Apr 22, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.