Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/6577
Full metadata record
DC FieldValueLanguage
dc.contributor.authorErgin, Oğuz-
dc.contributor.authorBalkan, Deniz-
dc.contributor.authorPonomarev, Dmitry-
dc.contributor.authorGhose, Kanad-
dc.date.accessioned2021-09-11T15:42:54Z-
dc.date.available2021-09-11T15:42:54Z-
dc.date.issued2006en_US
dc.identifier.issn0018-9340-
dc.identifier.issn1557-9956-
dc.identifier.urihttps://doi.org/10.1109/TC.2006.145-
dc.identifier.urihttps://hdl.handle.net/20.500.11851/6577-
dc.description.abstractModern superscalar microprocessors need sizable register files to support a large number of in-flight instructions for exploiting instruction level parallelism (ILP). An alternative to building large register files is to use a smaller number of registers, but manage them more effectively. More efficient management of registers can also result in higher performance if the reduction of the register file size is not the goal. Traditional register file management mechanisms deallocate a physical register only when the next instruction writing to the same destination architectural register commits. In this paper, we propose several techniques for deallocating physical registers much earlier. Our designs rely on the use of a checkpointed register file (CRF), where a local shadow copy of each bitcell is used to temporarily save the values of the early deallocated registers should they be needed to recover from branch mispredictions or to reconstruct the precise state after exceptions or interrupts. The proposed techniques try to release registers as soon as possible and are more aggressive than the previously proposed schemes for early deallocation of registers.en_US
dc.language.isoenen_US
dc.publisherIEEE Computer Socen_US
dc.relation.ispartofIEEE Transactions On Computersen_US
dc.rightsinfo:eu-repo/semantics/closedAccessen_US
dc.subjectsuperscalar processorsen_US
dc.subjectregister file optimizationen_US
dc.subjectprecise interruptsen_US
dc.titleEarly register deallocation mechanisms using checkpointed register filesen_US
dc.typeArticleen_US
dc.departmentFaculties, Faculty of Engineering, Department of Computer Engineeringen_US
dc.departmentFakülteler, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümütr_TR
dc.identifier.volume55en_US
dc.identifier.issue9en_US
dc.identifier.startpage1153en_US
dc.identifier.endpage1166en_US
dc.authorid0000-0003-2701-3787-
dc.identifier.wosWOS:000239159700010en_US
dc.identifier.scopus2-s2.0-33747617101en_US
dc.institutionauthorErgin, Oğuz-
dc.identifier.doi10.1109/TC.2006.145-
dc.relation.publicationcategoryMakale - Uluslararası Hakemli Dergi - Kurum Öğretim Elemanıen_US
dc.identifier.scopusqualityQ1-
item.fulltextNo Fulltext-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.languageiso639-1en-
item.cerifentitytypePublications-
item.openairetypeArticle-
item.grantfulltextnone-
crisitem.author.dept02.3. Department of Computer Engineering-
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
Scopus İndeksli Yayınlar Koleksiyonu / Scopus Indexed Publications Collection
WoS İndeksli Yayınlar Koleksiyonu / WoS Indexed Publications Collection
Show simple item record



CORE Recommender

SCOPUSTM   
Citations

16
checked on Apr 20, 2024

WEB OF SCIENCETM
Citations

10
checked on Apr 13, 2024

Page view(s)

90
checked on Apr 22, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.