Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/5727
Full metadata record
DC FieldValueLanguage
dc.contributor.authorKayaalp, Mehmet-
dc.contributor.authorKoç, F.-
dc.contributor.authorErgin, Oğuz-
dc.date.accessioned2021-09-11T15:19:47Z-
dc.date.available2021-09-11T15:19:47Z-
dc.date.issued2012en_US
dc.identifier.citation15th Euromicro Conference on Digital System Design, DSD 2012, 5 September 2012 through 8 September 2012, Cesme, Izmir, 95173en_US
dc.identifier.isbn9780769547985-
dc.identifier.urihttps://doi.org/10.1109/DSD.2012.56-
dc.identifier.urihttps://hdl.handle.net/20.500.11851/5727-
dc.description.abstractToday, designing reliable superscalar microprocessors is more complex and challenging than it has always been. Increasing current densities over interconnecting wires, whose dimensions are continuously scaling down, has been an important research problem. Performance and power efficiency concerns also lead to more complex structures. The complexity and the wire densities of the blocks in smaller areas make them more prone to failures and malfunctions caused by the "electromigration" problem. Most contemporary processors employ wide pipelines that are able to execute multiple instructions each cycle. Because of some limiting factors such as the dependencies and input/output latencies, pipelines are rarely used up to their full capacity, which causes some ports to be used more and worn out earlier. This paper proposes a method to help preventing chips against the corruptive effect of electromigration by distributing the utilization evenly between ports. Furthermore, in data holding structures like register files, bit level inactivity is exploited to provide further reliability improvements. This is accomplished by changing the flux density on wires through exchanging the positions of significant bits of values and changing the widths of bit line wires according to their level of usage. © 2012 IEEE.en_US
dc.description.sponsorshipASELSAN A.S.;Turkish Aerospace Industries, Inc. (TAI);The Scientific and Technological Research Council of Turkeyen_US
dc.language.isoenen_US
dc.relation.ispartofProceedings - 15th Euromicro Conference on Digital System Design, DSD 2012en_US
dc.rightsinfo:eu-repo/semantics/closedAccessen_US
dc.subjectElectromigrationen_US
dc.subjectFault Tolerant Systemsen_US
dc.subjectIntegrated Circuit Interconnectionsen_US
dc.subjectIntegrated Circuit Reliabilityen_US
dc.titleExploiting bus level and bit level inactivity for preventing wire degradation due to electromigrationen_US
dc.typeConference Objecten_US
dc.departmentFaculties, Faculty of Engineering, Department of Computer Engineeringen_US
dc.departmentFakülteler, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümütr_TR
dc.identifier.startpage280en_US
dc.identifier.endpage287en_US
dc.identifier.scopus2-s2.0-84872918332en_US
dc.institutionauthorErgin, Oğuz-
dc.identifier.doi10.1109/DSD.2012.56-
dc.relation.publicationcategoryKonferans Öğesi - Uluslararası - Kurum Öğretim Elemanıen_US
dc.relation.conference15th Euromicro Conference on Digital System Design, DSD 2012en_US
item.fulltextNo Fulltext-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.languageiso639-1en-
item.cerifentitytypePublications-
item.openairetypeConference Object-
item.grantfulltextnone-
crisitem.author.dept02.3. Department of Computer Engineering-
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
Scopus İndeksli Yayınlar Koleksiyonu / Scopus Indexed Publications Collection
Show simple item record



CORE Recommender

SCOPUSTM   
Citations

1
checked on Apr 20, 2024

Page view(s)

36
checked on Apr 22, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.