Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/6973
Title: LazyPIM: An Efficient Cache Coherence Mechanism for Processing-in-Memory
Authors: Boroumand, Amirali
Ghose, Saugata
Patel, Minesh
Hassan, Hasan
Lucia, Brandon
Hsieh, Kevin
Mutlu, Onur
Keywords: [No Keywords]
Publisher: IEEE Computer Soc
Abstract: Processing-in-memory (PIM) architectures cannot use traditional approaches to cache coherence due to the high off-chip traffic consumed by coherence messages. We propose LazyPIM, a new hardware cache coherence mechanism designed specifically for PIM. LazyPIM uses a combination of speculative cache coherence and compressed coherence signatures to greatly reduce the overhead of keeping PIM coherent with the processor. We find that LazyPIM improves average performance across a range of PIM applications by 49.1 percent over the best prior approach, coming within 5.5 percent of an ideal PIM mechanism.
URI: https://doi.org/10.1109/LCA.2016.2577557
https://hdl.handle.net/20.500.11851/6973
ISSN: 1556-6056
1556-6064
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
Scopus İndeksli Yayınlar Koleksiyonu / Scopus Indexed Publications Collection
WoS İndeksli Yayınlar Koleksiyonu / WoS Indexed Publications Collection

Show full item record



CORE Recommender

SCOPUSTM   
Citations

48
checked on Apr 20, 2024

WEB OF SCIENCETM
Citations

59
checked on Apr 20, 2024

Page view(s)

30
checked on Apr 22, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.