Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/8603
Full metadata record
DC FieldValueLanguage
dc.contributor.authorVijaykumar, Nandita-
dc.contributor.authorOlgun, Ataberk-
dc.contributor.authorKanellopoulos, Konstantinos-
dc.contributor.authorBostancı, Fatma Nisa-
dc.contributor.authorHassan, Hasan-
dc.contributor.authorLotfi, Mehrshad-
dc.contributor.authorMutlu, Onur-
dc.date.accessioned2022-07-30T16:41:53Z-
dc.date.available2022-07-30T16:41:53Z-
dc.date.issued2022-
dc.identifier.citationVijaykumar, N., Olgun, A., Kanellopoulos, K., Bostanci, F. N., Hassan, H., Lotfi, M., ... & Mutlu, O. (2022). MetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizations. ACM Transactions on Architecture and Code Optimization (TACO), 19(2), 1-29.en_US
dc.identifier.issn1544-3566-
dc.identifier.issn1544-3973-
dc.identifier.urihttps://doi.org/10.1145/3505250-
dc.identifier.urihttps://hdl.handle.net/20.500.11851/8603-
dc.description.abstractThis article introduces the first open-source FPGA-based infrastructure, MetaSys, with a prototype in a RISC-V system, to enable the rapid implementation and evaluation of a wide range of cross-layer techniques in real hardware. Hardware-software cooperative techniques are powerful approaches to improving the performance, quality of service, and security of general-purpose processors. They are, however, typically challenging to rapidly implement and evaluate in real hardware as they require full-stack changes to the hardware, system software, and instruction-set architecture (ISA). MetaSys implements a rich hardware-software interface and lightweight metadata support that can be used as a common basis to rapidly implement and evaluate new cross-layer techniques. We demonstrate MetaSys's versatility and ease-of-use by implementing and evaluating three cross-layer techniques for: (i) prefetching in graph analytics; (ii) bounds checking in memory unsafe languages, and (iii) return address protection in stack frames; each technique requiring only similar to 100 lines of Chisel code over MetaSys. Using MetaSys, we perform the first detailed experimental study to quantify the performance overheads of using a single metadata management system to enable multiple cross-layer optimizations in CPUs. We identify the key sources of bottlenecks and system inefficiency of a general metadata management system. We design MetaSys to minimize these inefficiencies and provide increased versatility compared to previously proposed metadata systems. Using three use cases and a detailed characterization, we demonstrate that a common metadata management system can be used to efficiently support diverse cross-layer techniques in CPUs. MetaSys is completely and freely available at https://github.com/CMU-SAFARI/MetaSys.en_US
dc.language.isoenen_US
dc.publisherAssoc Computing Machineryen_US
dc.relation.ispartofACM Transactions On Architecture and Code Optimizationen_US
dc.rightsinfo:eu-repo/semantics/openAccessen_US
dc.subjectHardware-software cooperationen_US
dc.subjectmetadataen_US
dc.subjectmemoryen_US
dc.subjectRISC-Ven_US
dc.subjectopen-sourceen_US
dc.subjectArchitectural Supporten_US
dc.subjectMulti-Coreen_US
dc.subjectMemoryen_US
dc.subjectHardwareen_US
dc.subjectPerformanceen_US
dc.subjectEfficienten_US
dc.subjectFairnessen_US
dc.subjectPlacementen_US
dc.subjectSafetyen_US
dc.subjectCosten_US
dc.titleMetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizationsen_US
dc.typeArticleen_US
dc.departmentFakülteler, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümüen_US
dc.departmentFaculties, Faculty of Engineering, Department of Computer Engineeringen_US
dc.identifier.volume19en_US
dc.identifier.issue2en_US
dc.authoridGibbons, Phillip/0000-0001-6967-2735-
dc.authoridOLGUN, ATABERK/0000-0001-5333-5726-
dc.authoridMutlu, Onur/0000-0002-0075-2312-
dc.identifier.wosWOS:000775454600011en_US
dc.institutionauthorOlgun, Ataberk-
dc.institutionauthorBostancı, Fatma Nisa-
dc.identifier.doi10.1145/3505250-
dc.relation.publicationcategoryMakale - Uluslararası Hakemli Dergi - İdari Personel ve Öğrencien_US
dc.identifier.scopusqualityQ2-
item.cerifentitytypePublications-
item.languageiso639-1en-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.openairetypeArticle-
item.fulltextNo Fulltext-
item.grantfulltextnone-
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
WoS İndeksli Yayınlar Koleksiyonu / WoS Indexed Publications Collection
Show simple item record



CORE Recommender

WEB OF SCIENCETM
Citations

2
checked on Apr 13, 2024

Page view(s)

32
checked on Apr 15, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.