Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/9861
Full metadata record
DC FieldValueLanguage
dc.contributor.authorKaraköy, M.-
dc.contributor.authorKislal, O.-
dc.contributor.authorTang, X.-
dc.contributor.authorKandemir, M.T.-
dc.contributor.authorArunachalam, M.-
dc.date.accessioned2022-12-25T20:51:47Z-
dc.date.available2022-12-25T20:51:47Z-
dc.date.issued2019-
dc.identifier.issn0163-5999-
dc.identifier.urihttps://doi.org/10.1145/3309697.3331508-
dc.identifier.urihttps://hdl.handle.net/20.500.11851/9861-
dc.description.abstractObserving that many application programs from different domains can live with less-Than-perfect accuracy, existing techniques try to trade off program output accuracy with performance-energy savings. While these works provide point solutions, they leave three critical questions regarding approximate computing unanswered: (i) what is the maximum potential of skipping (i.e., not performing) data accesses under a given inaccuracy bound?; (ii) can we identify the data accesses to drop randomly, or is being architecture aware critical?; and (iii) do two executions that skip the same number of data accesses always result in the same output quality (error)? This paper first provides answers to these questions using ten multithreaded workloads, and then presents a program slicing-based approach that identifies the set of data accesses to drop. Results indicate 8.8% performance improvement and 13.7% energy saving are possible when we set the error bound to 2%, and the corresponding improvements jump to 15% and 25%, respectively, when the error bound is raised to 4%. © 2019 Copyright is held by the owner/author(s).en_US
dc.description.sponsorshipNational Science Foundation, NSF: 1409095, 1439021, 1439057, 1526750, 1626251, 1629129, 1629915, 1763681; Intel Corporationen_US
dc.description.sponsorshipWe thank Murali Annavaram for shepherding our paper. We thank the anonymous reviewers for their feedback. This research is supported in part by NSF grants #1526750, #1763681, #1439057, #1439021, #1629129, #1409095, #1626251, #1629915, and a grant from Intel.en_US
dc.language.isoenen_US
dc.publisherAssociation for Computing Machineryen_US
dc.relation.ispartofPerformance Evaluation Reviewen_US
dc.rightsinfo:eu-repo/semantics/openAccessen_US
dc.subjectapproximate computingen_US
dc.subjectcompileren_US
dc.subjectmanycore systemen_US
dc.subjectApplication programsen_US
dc.subjectDropsen_US
dc.subjectEconomic and social effectsen_US
dc.subjectEnergy conservationen_US
dc.subjectErrorsen_US
dc.subjectCritical questionsen_US
dc.subjectDifferent domainsen_US
dc.subjectError bounden_US
dc.subjectMultithreadeden_US
dc.subjectNumber of datumen_US
dc.subjectOutput accuracyen_US
dc.subjectOutput qualityen_US
dc.subjectProgram slicingen_US
dc.subjectComputer architectureen_US
dc.titleArchitecture-Aware Approximate Computing [Article]en_US
dc.typeArticleen_US
dc.departmentESTÜen_US
dc.identifier.volume47en_US
dc.identifier.issue1en_US
dc.identifier.startpage23en_US
dc.identifier.endpage24en_US
dc.identifier.scopus2-s2.0-85086499029en_US
dc.institutionauthor[Belirlenecek]-
dc.identifier.doi10.1145/3309697.3331508-
dc.authorscopusid12759396300-
dc.authorscopusid55258210100-
dc.authorscopusid57013793800-
dc.authorscopusid35549787100-
dc.authorscopusid57188576053-
dc.relation.publicationcategoryMakale - Uluslararası Hakemli Dergi - Kurum Öğretim Elemanıen_US
dc.identifier.scopusquality--
dc.identifier.trdiziniden_US]
item.cerifentitytypePublications-
item.languageiso639-1en-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.openairetypeArticle-
item.fulltextNo Fulltext-
item.grantfulltextnone-
Appears in Collections:Scopus İndeksli Yayınlar Koleksiyonu / Scopus Indexed Publications Collection
Show simple item record



CORE Recommender

Page view(s)

2
checked on Apr 15, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.