Please use this identifier to cite or link to this item: https://hdl.handle.net/20.500.11851/8603
Title: MetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizations
Authors: Vijaykumar, Nandita
Olgun, Ataberk
Kanellopoulos, Konstantinos
Bostancı, Fatma Nisa
Hassan, Hasan
Lotfi, Mehrshad
Mutlu, Onur
Keywords: Hardware-software cooperation
metadata
memory
RISC-V
open-source
Architectural Support
Multi-Core
Memory
Hardware
Performance
Efficient
Fairness
Placement
Safety
Cost
Publisher: Assoc Computing Machinery
Source: Vijaykumar, N., Olgun, A., Kanellopoulos, K., Bostanci, F. N., Hassan, H., Lotfi, M., ... & Mutlu, O. (2022). MetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizations. ACM Transactions on Architecture and Code Optimization (TACO), 19(2), 1-29.
Abstract: This article introduces the first open-source FPGA-based infrastructure, MetaSys, with a prototype in a RISC-V system, to enable the rapid implementation and evaluation of a wide range of cross-layer techniques in real hardware. Hardware-software cooperative techniques are powerful approaches to improving the performance, quality of service, and security of general-purpose processors. They are, however, typically challenging to rapidly implement and evaluate in real hardware as they require full-stack changes to the hardware, system software, and instruction-set architecture (ISA). MetaSys implements a rich hardware-software interface and lightweight metadata support that can be used as a common basis to rapidly implement and evaluate new cross-layer techniques. We demonstrate MetaSys's versatility and ease-of-use by implementing and evaluating three cross-layer techniques for: (i) prefetching in graph analytics; (ii) bounds checking in memory unsafe languages, and (iii) return address protection in stack frames; each technique requiring only similar to 100 lines of Chisel code over MetaSys. Using MetaSys, we perform the first detailed experimental study to quantify the performance overheads of using a single metadata management system to enable multiple cross-layer optimizations in CPUs. We identify the key sources of bottlenecks and system inefficiency of a general metadata management system. We design MetaSys to minimize these inefficiencies and provide increased versatility compared to previously proposed metadata systems. Using three use cases and a detailed characterization, we demonstrate that a common metadata management system can be used to efficiently support diverse cross-layer techniques in CPUs. MetaSys is completely and freely available at https://github.com/CMU-SAFARI/MetaSys.
URI: https://doi.org/10.1145/3505250
https://hdl.handle.net/20.500.11851/8603
ISSN: 1544-3566
1544-3973
Appears in Collections:Bilgisayar Mühendisliği Bölümü / Department of Computer Engineering
WoS İndeksli Yayınlar Koleksiyonu / WoS Indexed Publications Collection

Show full item record



CORE Recommender

WEB OF SCIENCETM
Citations

2
checked on Apr 20, 2024

Page view(s)

32
checked on Apr 22, 2024

Google ScholarTM

Check




Altmetric


Items in GCRIS Repository are protected by copyright, with all rights reserved, unless otherwise indicated.