02.3. Department of Computer Engineering

Organization name
02.3. Department of Computer Engineering
Director
Parent OrgUnit
City
Ankara
Country
Turkey

OrgUnit's Researchers publications
(Dept/Workgroup Publication)

Refined By:
Author:  Ergin, Oğuz
Type:  Conference Object

Results 21-32 of 32 (Search time: 0.003 seconds).

Issue DateTitleAuthor(s)
212022HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM ChipsGiray, Yaglikci, A.; Olgun, A.; Patel, M.; Luo, H.; Hassan, H.; Orosa, L.; Ergin, Oğuz 
222012Improving the soft error resilience of the register files using SRAM bitcells with built-in comparatorsKayaalp, Mehmet; Koç, F.; Ergin, Oğuz 
23Apr-2020A Microprocessor Protection Architecture against Hardware Trojans in MemoriesBolat, A.; Cassano, L.; Reviriego, P.; Ergin, Oğuz ; Ottavid, M.
24Jul-2019A Novel FPGA-Based High Throughput Accelerator for Binary Search TreesMelikoğlu, Öykü; Ergin, Oğuz ; Salami, B.; Pavon, J.; Ünsal, O.; Cristal, A.
252022PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM TechniquesOlgun, A.; Luna, J.G.; Kanellopoulos, K.; Salami, B.; Hassan, H.; Ergin, Oğuz ; Mutlu, O.
262021QUAC-TRNG: High Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM ChipsOlgun, Ataberk; Patel, Minesh; Yağlıkçı, A. Giray; Luo, Haocong; Ergin, Oğuz ; Bostancı, F. Nisa; Vijaykumar, Nandita
272009Reducing Parity Generation Latency through Input Value Aware CircuitsOsmanlıoğlu, Yusuf; Koçberber, Y. Onur; Ergin, Oğuz 
282017SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM StudiesHassan, Hasan; Vijaykumar, Nandita; Khan, Samira; Ghose, Saugata; Chang, Kevin; Pekhimenko, Gennady; Lee, Donghyuk; Ergin, Oğuz ; Mutlu, Onur
292011Tag Simplification: Achieving Power Efficiency through Reducing the Complexity of the Wakeup LogicAykenar, Mehmet Burak; Özgür, Muhammet; Bayraktar, Vehbi Eşref; Ergin, Oğuz 
302015User-Specific Skin Temperature-Aware DVFS for SmartphonesEğilmez, Begüm; Memik, Gökhan; Öğrenci, Memik Seda; Ergin, Oğuz 
312011Using Content-Aware Bitcells to Reduce Static Energy DissipationKoç, Fahrettin; Şimşek, Osman Seçkin; Ergin, Oğuz 
322015Using Value Similarity of Registers for Soft Error MitigationEker, Abulaziz; Ergin, Oğuz