02.3. Department of Computer Engineering

Organization name
02.3. Department of Computer Engineering
Director
Parent OrgUnit
City
Ankara
Country
Turkey

OrgUnit's Researchers publications
(Dept/Workgroup Publication)

Refined By:
Type:  Article
Author:  Ergin, Oğuz

Results 1-20 of 27 (Search time: 0.009 seconds).

Issue DateTitleAuthor(s)
1Aug-2014Bit Impact Factor: Towards making fair vulnerability comparisonCan, Serdar Zafer; Yalçın, Gülay; Ergin, Oğuz ; Sabri Ünsal, Osman; Cristal, Adrian
22022Can We Trust Undervolting in FPGA-Based Deep Learning Designs at Harsh Conditions?Koc, Fahrettin; Salami, Behzad; Ergin, Oğuz ; Unsal, Osman; Kestelman, Adrian Cristal
32006Early register deallocation mechanisms using checkpointed register filesErgin, Oğuz ; Balkan, Deniz; Ponomarev, Dmitry; Ghose, Kanad
42009Energy-Efficient Register Caching with Compiler AssistanceJones, Timothy M.; O'Boyle, Michael F. P.; Abella, Jaume; Gonzalez, Antonio; Ergin, Oğuz 
52013Enhanced Duplication: a Technique to Correct Soft Errors in Narrow ValuesKarslı, I. Burak; Reviriego, Pedro; Balli, M. Fatih; Ergin, Oğuz ; Maestro, J. A.
6Oct-2014Exploiting Existing Comparators for Fine-Grained Low-Cost Error DetectionYalçın, Gülay; Ergin, Oğuz ; İşlek, Emrah; Ünsal, Osman Sabri; Cristal, Adrian
7Jan-2016Exploiting Existing Copies in Register File for Soft Error CorrectionEker, Abdulaziz; Ergin, Oğuz 
82009Exploiting narrow values for faster parity generationKoçberber, Yusuf Onur; Osmanoğlu, Yusuf; Ergin, Oğuz 
92006Exploiting narrow values for soft error toleranceErgin, Oğuz ; Ünsal, O.; vera X.; González, A.
10Aug-2014Exploiting processor features to implement error detection in reduced precision matrix multiplicationsReviriego, Pedro; Can, Serdar Zafer; Eryılmaz, Çağrı; Antonio Maestro, Juan; Ergin, Oğuz 
11Jan-2014Exploiting Virtual Addressing for Increasing ReliabilityÇakmakcı, Yaman; Ergin, Oğuz 
122009Exploring the Limits of Early Register Release: Exploiting Compiler AnalysisJones, Timothy M.; O'Boyle, Michael F. P.; Abella, Jaume; Gonzalez, Antonio; Ergin, Oğuz 
131-Nov-2017GateKeeper: a new hardware architecture for accelerating pre-alignment in DNA short read mappingAlser, Mohammed; Hassan, Hasan; Xin, Hongyi; Ergin, Oğuz ; Mutlu, Onur; Alkan, Can
142006Impact of parameter variations on circuits and microarchitectureÜnsal, Osman S.; Tschanz, James W.; Bowman, Keith; De, Vivek; vera, Xavier; Gonzalez, Antonio; Ergin, Oğuz 
152006Instruction Packing: Toward Fast and Energy-Efficient Instruction SchedulingSharkey, J. J.; Ponomarev, D. V.; Ghose, K.; Ergin, Oğuz 
162009Modifying The Data-Holding Components Of The Microprocessors For Energy EfficiencyOsmanlıoğlu, Yusuf; Hanay, Y. Sinan; Ergin, Oğuz 
172022MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMsYüksel, I.E.; Salami, B.; Ergin, Oğuz ; Ünsal, O.S.; Kestelman, A.C.
18Jul-2018Opcode vector: An efficient scheme to detect soft errors in instructionsMartinez, Jorge A.; Atamaner, Mert; Reviriego, Pedro; Ergin, Oğuz ; Ottavi, Marco
192022PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAMOlgun, Ataberk; Luna, Juan Gomez; Kanellopoulos, Konstantinos; Salami, Behzad; Hassan, Hasan; Ergin, Oguz ; Mutlu, Onur
202022Processor Security: Detecting Microarchitectural Attacks via Count-Min SketchesArikan, Kerem; Palumbo, Alessandro; Cassano, Luca; Reviriego, Pedro; Pontarelli, Salvatore; Bianchi, Giuseppe; Ergin, Oğuz