02.3. Department of Computer Engineering

Organization name
02.3. Department of Computer Engineering
Director
Parent OrgUnit
City
Ankara
Country
Turkey

OrgUnit's Researchers publications
(Dept/Workgroup Publication)

Refined By:
Fulltext:  No Fulltext
Author:  Ergin, Oğuz

Results 41-60 of 62 (Search time: 0.003 seconds).

Issue DateTitleAuthor(s)
412009Modifying The Data-Holding Components Of The Microprocessors For Energy EfficiencyOsmanlıoğlu, Yusuf; Hanay, Y. Sinan; Ergin, Oğuz 
422022MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMsYüksel, I.E.; Salami, B.; Ergin, Oğuz ; Ünsal, O.S.; Kestelman, A.C.
43Jul-2019A Novel FPGA-Based High Throughput Accelerator for Binary Search TreesMelikoğlu, Öykü; Ergin, Oğuz ; Salami, B.; Pavon, J.; Ünsal, O.; Cristal, A.
44Jul-2018Opcode vector: An efficient scheme to detect soft errors in instructionsMartinez, Jorge A.; Atamaner, Mert; Reviriego, Pedro; Ergin, Oğuz ; Ottavi, Marco
452022PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAMOlgun, Ataberk; Luna, Juan Gomez; Kanellopoulos, Konstantinos; Salami, Behzad; Hassan, Hasan; Ergin, Oguz ; Mutlu, Onur
462022PiDRAM: An FPGA-based Framework for End-to-end Evaluation of Processing-in-DRAM TechniquesOlgun, A.; Luna, J.G.; Kanellopoulos, K.; Salami, B.; Hassan, H.; Ergin, Oğuz ; Mutlu, O.
472022Processor Security: Detecting Microarchitectural Attacks via Count-Min SketchesArikan, Kerem; Palumbo, Alessandro; Cassano, Luca; Reviriego, Pedro; Pontarelli, Salvatore; Bianchi, Giuseppe; Ergin, Oğuz 
482021QUAC-TRNG: High Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM ChipsOlgun, Ataberk; Patel, Minesh; Yağlıkçı, A. Giray; Luo, Haocong; Ergin, Oğuz ; Bostancı, F. Nisa; Vijaykumar, Nandita
492009Reducing Parity Generation Latency through Input Value Aware CircuitsOsmanlıoğlu, Yusuf; Koçberber, Y. Onur; Ergin, Oğuz 
502009Reducing Soft Errors through Operand Width Aware PoliciesErgin, Oğuz ; Ünsal, Osman S.; vera, Xavier; Gonzalez, Antonio
512010Reducing The Energy Dissipation Of The Issue Queue By Exploiting Narrow Immediate OperandsKaynak, İlknur Cansu; Koçberber, Yusuf Onur; Ergin, Oğuz 
522008Refueling: Preventing wire degradation due to electromigrationAbella, Jaume; Vera, Xavier; Ünsal, Osman S.; Ergin, Oğuz ; Gonzalez, Antonio; Tschanz, James W.; Kartal, Yavuz Selim
532015RSFQ Tabanlı Entegre Devre tasarım aracı ve Aritmetik Mantık Birimi GeliştirilmesiErgin, Oğuz ; Bozbey, Ali ; Tunç, Celal Alp; Çelik, M. Eren; Özer, Murat; Üşenmez, Kübra; Tükel, Yiğit
142017SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM StudiesHassan, Hasan; Vijaykumar, Nandita; Khan, Samira; Ghose, Saugata; Chang, Kevin; Pekhimenko, Gennady; Lee, Donghyuk; Ergin, Oğuz ; Mutlu, Onur
15Jul-2018SoftMC: Practical DRAM Characterization Using an FPGA-Based InfrastructureHassan, Hasan; Vijaykumar, Nandita; Khan, Samira; Ghose, Saugata; Chang, Kevin; Pekhimenko, Gennady; Lee, Donghyuk; Ergin, Oğuz ; Mutlu, Onur
162009Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılmasıErgin, Oğuz 
172011Tag Simplification: Achieving Power Efficiency through Reducing the Complexity of the Wakeup LogicAykenar, Mehmet Burak; Özgür, Muhammet; Bayraktar, Vehbi Eşref; Ergin, Oğuz 
18Nov-2016URFA-Update based register file architecture with partial register write for energy efficiencyEker, Abdulaziz; Mert, Y. Murat; Ergin, Oğuz 
192015User-Specific Skin Temperature-Aware DVFS for SmartphonesEğilmez, Begüm; Memik, Gökhan; Öğrenci, Memik Seda; Ergin, Oğuz 
202011Using Content-Aware Bitcells to Reduce Static Energy DissipationKoç, Fahrettin; Şimşek, Osman Seçkin; Ergin, Oğuz